Part Number Hot Search : 
LT3471 005000 TLRME17T KMP1100R MAX8893C 14602FA LP3311S N101C
Product Description
Full Text Search
 

To Download EPF6016 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  altera corporation 1 flex 6000 programmable logic device family march 2001, ver. 4.1 data sheet a-ds-f6000-04.1 features...  provides an ideal low-cost, programmable alternative to high- volume gate array applications and allows fast design changes during prototyping or design testing  product features ? register-rich, look-up table- (lut-) based architecture ? optiflex ? architecture that increases device area efficiency ? typical gates ranging from 5,000 to 24,000 gates (see table 1 ) ? built-in low-skew clock distribution tree ? 100 % functional testing of all devices; test vectors or scan chains are not required  system-level features ? in-circuit reconfigurability (icr) via external configuration device or intelligent controller ? 5.0-v devices are fully compliant with peripheral component interconnect special interest group (pci sig) pci local bus specification, revision 2.2 ? built-in joint test action group (jtag) boundary-scan test (bst) circuitry compliant with ieee std. 1149.1-1990, available without consuming additional device logic ? multivolt tm i/o interface operation, allowing a device to bridge between systems operating at different voltages ? low power consumption (typical specification less than 0.5 ma in standby mode) ? 3.3-v devices support hot-socketing note: (1) the embedded ieee std. 1149.1 jtag circuitry adds up to 14,000 gates in addition to the listed typical gates. table 1. flex 6000 device features feature epf6010a EPF6016 EPF6016a epf6024a typical gates (1) 10,000 16,000 16,000 24,000 logic elements (les) 880 1,320 1,320 1,960 maximum i/o pins 102 204 171 218 supply voltage (v ccint ) 3.3 v 5.0 v 3.3 v 3.3 v
2 altera corporation flex 6000 programmable logic device family data sheet ...and more features  powerful i/o pins ? individual tri-state output enable control for each pin ? programmable output slew-rate control to reduce switching noise ? fast path from register to i/o pin for fast clock-to-output time  flexible interconnect ? fasttrack ? interconnect continuous routing structure for fast, predictable interconnect delays ? dedicated carry chain that implements arithmetic functions such as fast adders, counters, and comparators (automatically used by software tools and megafunctions) ? dedicated cascade chain that implements high-speed, high-fan- in logic functions (automatically used by software tools and megafunctions) ? tri-state emulation that implements internal tri-state networks ? four low-skew global paths for clock, clear, preset, or logic signals  software design support and automatic place-and-route provided by altera ? s development system for windows-based pcs, sun sparcstations, and hp 9000 series 700/800  flexible package options ? available in a variety of packages with 100 to 256 pins, including the innovative fineline bga tm packages (see table 2 ) ? sameframe tm pin-compatibility (with other flex ? 6000 devices) across device densities and pin counts ? thin quad flat pack (tqfp), plastic quad flat pack (pqfp), and ball-grid array (bga) packages (see table 2 ) ? footprint- and pin-compatibility with other flex 6000 devices in the same package  additional design entry and simulation support provided by edif 2 0 0 and 3 0 0 netlist files, the library of parameterized modules (lpm), verilog hdl, vhdl, designware components, and other interfaces to popular eda tools from manufacturers such as cadence, exemplar logic, mentor graphics, orcad, synopsys, synplicity, veribest, and viewlogic table 2. flex 6000 package options & i/o pin count device 100-pin tqfp 100-pin fineline bga 144-pin tqfp 208-pin pqfp 240-pin pqfp 256-pin bga 256-pin fineline bga epf6010a 71 102 EPF6016 117 171 199 204 EPF6016a 81 81 117 171 171 epf6024a 117 171 199 218 219
altera corporation 3 flex 6000 programmable logic device family data sheet general description the altera ? flex 6000 programmable logic device (pld) family provides a low-cost alternative to high-volume gate array designs. flex 6000 devices are based on the optiflex architecture, which minimizes die size while maintaining high performance and routability. the devices have reconfigurable sram elements, which give designers the flexibility to quickly change their designs during prototyping and design testing. designers can also change functionality during operation via in-circuit reconfiguration. flex 6000 devices are reprogrammable, and they are 100 % tested prior to shipment. as a result, designers are not required to generate test vectors for fault coverage purposes, allowing them to focus on simulation and design verification. in addition, the designer does not need to manage inventories of different gate array designs. flex 6000 devices are configured on the board for the specific functionality required. table 3 shows flex 6000 performance for some common designs. all performance values shown were obtained using synopsys designware or lpm functions. special design techniques are not required to implement the applications; the designer simply infers or instantiates a function in a verilog hdl, vhdl, altera hardware description language (ahdl), or schematic design file. note: (1) this performance value is measured as a pin-to-pin delay. table 3. flex 6000 device performance for common designs application les used performance units -1 speed grade -2 speed grade -3 speed grade 16-bit loadable counter 16 172 153 133 mhz 16-bit accumulator 16 172 153 133 mhz 24-bit accumulator 24 136 123 108 mhz 16-to-1 multiplexer (pin-to-pin) (1) 10 12.1 13.4 16.6 ns 16 16 multiplier with a 4-stage pipeline 592 84 67 58 mhz
4 altera corporation flex 6000 programmable logic device family data sheet table 4 shows flex 6000 performance for more complex designs. note: (1) the applications in this table were created using altera megacore tm functions . flex 6000 devices are supported by altera development systems; a single, integrated package that offers schematic, text (including ahdl), and waveform design entry, compilation and logic synthesis, full simulation and worst-case timing analysis, and device configuration. the altera software provides edif 2 0 0 and 3 0 0, lpm, vhdl, verilog hdl, and other interfaces for additional design entry and simulation support from other industry-standard pc- and unix workstation-based eda tools. the altera software works easily with common gate array eda tools for synthesis and simulation. for example, the altera software can generate verilog hdl files for simulation with tools such as cadence verilog-xl. additionally, the altera software contains eda libraries that use device- specific features such as carry chains which are used for fast counter and arithmetic functions. for instance, the synopsys design compiler library supplied with the altera development systems include designware functions that are optimized for the flex 6000 architecture. the altera development system runs on windows-based pcs, sun sparcstations, and hp 9000 series 700/800. f see the max+plus ii programmable logic development system & software data sheet and the quartus programmable logic development system & software data sheet for more information. table 4. flex 6000 device performance for complex designs note (1) application les used performance units -1 speed grade -2 speed grade -3 speed grade 8-bit, 16-tap parallel finite impulse response (fir) filter 599948072msps 8-bit, 512-point fast fourier transform (fft) function 1,182 75 63 89 53 109 43 s mhz a16450 universal asynchronous receiver/transmitter (uart) 487363025 mhz pci bus target with zero wait states 609 56 49 42 mhz
altera corporation 5 flex 6000 programmable logic device family data sheet functional description the flex 6000 optiflex architecture consists of logic elements (les). each le includes a 4-input look-up table (lut), which can implement any 4-input function, a register, and dedicated paths for carry and cascade chain functions. because each le contains a register, a design can be easily pipelined without consuming more les. the specified gate count for flex 6000 devices includes all luts and registers. les are combined into groups called logic array blocks (labs); each lab contains 10 les. the altera software automatically places related les into the same lab, minimizing the number of required interconnects. each lab can implement a medium-sized block of logic, such as a counter or multiplexer. signal interconnections within flex 6000 devices ? and to and from device pins ? are provided via the routing structure of the fasttrack interconnect. the routing structure is a series of fast, continuous row and column channels that run the entire length and width of the device. any le or pin can feed or be fed by any other le or pin via the fasttrack interconnect. see ? fasttrack interconnect ? on page 17 of this data sheet for more information. each i/o pin is fed by an i/o element (ioe) located at the end of each row and column of the fasttrack interconnect. each ioe contains a bidirectional i/o buffer. each ioe is placed next to an lab, where it can be driven by the local interconnect of that lab. this feature allows fast clock-to-output times of less than 8 ns when a pin is driven by any of the 10 les in the adjacent lab. also, any le can drive any pin via the row and column interconnect. i/o pins can drive the le registers via the row and column interconnect, providing setup times as low as 2 ns and hold times of 0 ns. ioes provide a variety of features, such as jtag bst support, slew-rate control, and tri-state buffers. figure 1 shows a block diagram of the flex 6000 optiflex architecture. each group of ten les is combined into an lab, and the labs are arranged into rows and columns. the labs are interconnected by the fasttrack interconnect. ioes are located at the end of each fasttrack interconnect row and column.
6 altera corporation flex 6000 programmable logic device family data sheet figure 1. optiflex architecture block diagram flex 6000 devices provide four dedicated, global inputs that drive the control inputs of the flipflops to ensure efficient distribution of high- speed, low-skew control signals. these inputs use dedicated routing channels that provide shorter delays and lower skews than the fasttrack interconnect. these inputs can also be driven by internal logic, providing an ideal solution for a clock divider or an internally generated asynchronous clear signal that clears many registers in the device. the dedicated global routing structure is built into the device, eliminating the need to create a clock tree. logic array block an lab consists of ten les, their associated carry and cascade chains, the lab control signals, and the lab local interconnect. the lab provides the coarse-grained structure of the flex 6000 architecture, and facilitates efficient routing with optimum device utilization and high performance. ioes ioes row fasttrack interconnect column fasttrack interconnect column fasttrack interconnect row fasttrack interconnect logic elements local interconnect (each lab accesses two local interconnect areas.)
altera corporation 7 flex 6000 programmable logic device family data sheet the interleaved lab structure ? an innovative feature of the flex 6000 architecture ? allows each lab to drive two local interconnects. this feature minimizes the use of the fasttrack interconnect, providing higher performance. an lab can drive 20 les in adjacent labs via the local interconnect, which maximizes fitting flexibility while minimizing die size. see figure 2 . figure 2. logic array block in most designs, the registers only use global clock and clear signals. however, in some cases, other clock or asynchronous clear signals are needed. in addition, counters may also have synchronous clear or load signals. in a design that uses non-global clock and clear signals, inputs from the first le in an lab are re-routed to drive the control signals for that lab. see figure 3 . the 10 les in the lab are driven by two local interconnect areas. the lab can drive two local interconnect areas. r o w int e r co nn ect local interconnect the row interconnect is b idirectionall y connecte d to th e l oc al int e r co nn ec t . c olumn interconnec t les can directl y drive the ro w an d co l u mn int e r co nn ec t . to/from adjacent lab or ioes to/from adjacent lab or ioes
8 altera corporation flex 6000 programmable logic device family data sheet figure 3. lab control signals logic element an le, the smallest unit of logic in the flex 6000 architecture, has a compact size that provides efficient logic usage. each le contains a four- input lut, which is a function generator that can quickly implement any function of four variables. an le contains a programmable flipflop, carry and cascade chains. additionally, each le drives both the local and the fasttrack interconnect. see figure 4 . 4 input signals to the first le in an lab (i.e., le 1) can be rerouted to drive control signals within the lab. the dedicated input signals can drive the clock and asynchronous clear signals. labctrl1/ synclr labctrl2 clk1/synload lab-wide control signals (synclr and synload signals are used in counter mode). clk2 le 1 dedicated inputs
altera corporation 9 flex 6000 programmable logic device family data sheet figure 4. logic element the programmable flipflop in the le can be configured for d, t, jk, or sr operation. the clock and clear control signals on the flipflop can be driven by global signals, general-purpose i/o pins, or any internal logic. for combinatorial functions, the flipflop is bypassed and the output of the lut drives the outputs of the le. the le output can drive both the local interconnect and the fasttrack interconnect. the flex 6000 architecture provides two types of dedicated high-speed data paths that connect adjacent les without using local interconnect paths: carry chains and cascade chains. a carry chain supports high-speed arithmetic functions such as counters and adders, while a cascade chain implements wide-input functions such as equivalent comparators with minimum delay. carry and cascade chains connect les 2 through 10 in an lab and all labs in the same half of the row. because extensive use of carry and cascade chains can reduce routing flexibility, these chains should be limited to speed-critical portions of a design. chip-wide reset carry-in clock select carry-out look-up t able (lut) clear/ preset logic carry chain cascade chain cascade-in cascade-out le-out programmable register prn clrn dq register bypass data1 data2 data3 data4 labctrl1 labctrl2 labctrl3 labctrl4
10 altera corporation flex 6000 programmable logic device family data sheet carry chain the carry chain provides a very fast (0.1 ns) carry-forward function between les. the carry-in signal from a lower-order bit drives forward into the higher-order bit via the carry chain, and feeds into both the lut and the next portion of the carry chain. this feature allows the flex 6000 architecture to implement high-speed counters, adders, and comparators of arbitrary width. carry chain logic can be created automatically by the altera software during design processing, or manually by the designer during design entry. parameterized functions such as lpm and designware functions automatically take advantage of carry chains for the appropriate functions. because the first le of each lab can generate control signals for that lab, the first le in each lab is not included in carry chains. in addition, the inputs of the first le in each lab may be used to generate synchronous clear and load enable signals for counters implemented with carry chains. carry chains longer than nine les are implemented automatically by linking labs together. for enhanced fitting, a long carry chain skips alternate labs in a row. a carry chain longer than one lab skips either from an even-numbered lab to another even-numbered lab, or from an odd-numbered lab to another odd-numbered lab. for example, the last le of the first lab in a row carries to the second le of the third lab in the row. in addition, the carry chain does not cross the middle of the row. for instance, in the EPF6016 device, the carry chain stops at the 11th lab in a row and a new carry chain begins at the 12th lab. figure 5 shows how an n -bit full adder can be implemented in n + 1 les with the carry chain. one portion of the lut generates the sum of two bits using the input signals and the carry-in signal; the sum is routed to the output of the le. although the register can be bypassed for simple adders, it can be used for an accumulator function. another portion of the lut and the carry chain logic generates the carry-out signal, which is routed directly to the carry-in signal of the next-higher-order bit. the final carry-out signal is routed to an le, where it is driven onto the fasttrack interconnect.
altera corporation 11 flex 6000 programmable logic device family data sheet figure 5. carry chain operation lut a1 b1 carry chain s1 le 2 register a2 b2 carry chain s2 le 3 register carry chain s n register a n b n carry chain carry-out le n + 2 le n + 1 register carry-in lut lut lut
12 altera corporation flex 6000 programmable logic device family data sheet cascade chain the cascade chain enables the flex 6000 architecture to implement very wide fan-in functions. adjacent luts can be used to implement portions of the function in parallel; the cascade chain serially connects the intermediate values. the cascade chain can use a logical and or logical or gate (via de morgan ? s inversion) to connect the outputs of adjacent les. each additional le provides four more inputs to the effective width of a function, with a delay as low as 0.5 ns per le. cascade chain logic can be created automatically by the altera software during design processing, or manually by the designer during design entry. parameterized functions such as lpm and designware functions automatically take advantage of cascade chains for the appropriate functions. a cascade chain implementing an and gate can use the register in the last le; a cascade chain implementing an or gate cannot use this register because of the inversion required to implement the or gate. because the first le of an lab can generate control signals for that lab, the first le in each lab is not included in cascade chains. moreover, cascade chains longer than nine bits are automatically implemented by linking several labs together. for easier routing, a long cascade chain skips every other lab in a row. a cascade chain longer than one lab skips either from an even-numbered lab to another even-numbered lab, or from an odd-numbered lab to another odd-numbered lab. for example, the last le of the first lab in a row cascades to the second le of the third lab. the cascade chain does not cross the center of the row. for example, in an EPF6016 device, the cascade chain stops at the 11th lab in a row and a new cascade chain begins at the 12th lab. figure 6 shows how the cascade function can connect adjacent les to form functions with a wide fan-in. in this example, functions of 4 n variables are implemented with n les. the cascade chain requires 3.4 ns to decode a 16-bit address.
altera corporation 13 flex 6000 programmable logic device family data sheet figure 6. cascade chain operation le operating modes the flex 6000 le can operate in one of the following three modes:  normal mode  arithmetic mode  counter mode each of these modes uses le resources differently. in each mode, seven available inputs to the le ? the four data inputs from the lab local interconnect, the feedback from the programmable register, and the carry-in and cascade-in from the previous le ? are directed to different destinations to implement the desired logic function. lab-wide signals provide clock, asynchronous clear, synchronous clear, and synchronous load control for the register. the altera software, in conjunction with parameterized functions such as lpm and designware functions, automatically chooses the appropriate mode for common functions such as counters, adders, and multipliers. if required, the designer can also create special-purpose functions to use an le operating mode for optimal performance. figure 7 shows the le operating modes. d[3..0] le 2 lut d[7..4] le 3 lut le n + 1 le n + 1 lut d[3..0] lut d[7..4] lut lut le 2 le 3 and cascade chain or cascade chain d[(4 n -1)..4( n -1)] d[(4 n -1)..4( n -1)]
14 altera corporation flex 6000 programmable logic device family data sheet figure 7. le operating modes notes: (1) the register feedback multiplexer is available on le 2 of each lab. (2) the data1 and data2 input signals can supply a clock enable, up or down control, or register feedback signals for all les other than the second le in an lab. (3) the lab-wide synchronous clear and lab-wide synchronous load affect all registers in an lab. prn clrn dq 4-input lut carry-in cascade-out cascade-in le-out normal mode prn clrn dq cascade-out le-out cascade-in 3-input lut carry-in 3-input lut carry-out arithmetic mode counter mode data1 (2) data2 (2) prn clrn dq carry-in le-out lut 3-input 3-input lut carry-out data3 (data) cascade-out cascade-in lab-wide synchronous load (3) lab-wide synchronous clear (3) (1) data1 data2 data1 data2 data3 data4
altera corporation 15 flex 6000 programmable logic device family data sheet normal mode the normal mode is suitable for general logic applications, combinatorial functions, or wide decoding functions that can take advantage of a cascade chain. in normal mode, four data inputs from the lab local interconnect and the carry-in are inputs to a 4-input lut. the altera software automatically selects the carry-in or the data3 signal as one of the inputs to the lut. the lut output can be combined with the cascade- in signal to form a cascade chain through the cascade-out signal. arithmetic mode the arithmetic mode is ideal for implementing adders, accumulators, and comparators. an le in arithmetic mode uses two 3-input luts. one lut computes a 3-input function; the other generates a carry output. as shown in figure 7 , the first lut uses the carry-in signal and two data inputs from the lab local interconnect to generate a combinatorial or registered output. for example, when implementing an adder, this output is the sum of three signals: data1 , data2 , and carry-in. the second lut uses the same three signals to generate a carry-out signal, thereby creating a carry chain. the arithmetic mode also supports simultaneous use of the cascade chain. the altera software implements logic functions to use the arithmetic mode automatically where appropriate; the designer does not have to decide how the carry chain will be used. counter mode the counter mode offers counter enable, synchronous up/down control, synchronous clear, and synchronous load options. the counter enable and synchronous up/down control signals are generated from the data inputs of the lab local interconnect. the synchronous clear and synchronous load options are lab-wide signals that affect all registers in the lab. consequently, if any of the les in a lab use counter mode, other les in that lab must be used as part of the same counter or be used for a combinatorial function. in addition, the altera software automatically places registers that are not in the counter into other labs. the counter mode uses two 3-input luts: one generates the counter data and the other generates the fast carry bit. a 2-to-1 multiplexer provides synchronous loading, and another and gate provides synchronous clearing. if the cascade function is used by an le in counter mode, the synchronous clear or load will override any signal carried on the cascade chain. the synchronous clear overrides the synchronous load.
16 altera corporation flex 6000 programmable logic device family data sheet either the counter enable or the up/down control may be used for a given counter. moreover, the synchronous load can be used as a count enable by routing the register output into the data input automatically when requested by the designer. the second le of each lab has a special function for counter mode; the carry-in of the le can be driven by a fast feedback path from the register. this function gives a faster counter speed for counter carry chains starting in the second le of an lab. the altera software implements functions to use the counter mode automatically where appropriate. the designer does not have to decide how the carry chain will be used. internal tri-state emulation internal tri-state emulation provides internal tri-states without the limitations of a physical tri-state bus. in a physical tri-state bus, the tri-state buffers ? output enable (oe) signals select which signal drives the bus. however, if multiple oe signals are active, contending signals can be driven onto the bus. conversely, if no oe signals are active, the bus will float. internal tri-state emulation resolves contending tri-state buffers to a low value and floating buses to a high value, thereby eliminating these problems. the altera software automatically implements tri-state bus functionality with a multiplexer. clear & preset logic control logic for the programmable register ? s clear and preset functions is controlled by the lab-wide signals labctrl1 and labctrl2 . the le register has an asynchronous clear that can implement an asynchronous preset. either labctrl1 or labctrl2 can control the asynchronous clear or preset. because the clear and preset functions are active-low, the altera software automatically assigns a logic high to an unused clear or preset signal. the clear and preset logic is implemented in either the asynchronous clear or asynchronous preset mode, which is chosen during design entry (see figure 8 ).
altera corporation 17 flex 6000 programmable logic device family data sheet figure 8. le clear & preset modes asynchronous clear the flipflop can be cleared by either labctrl1 or labctrl2 . asynchronous preset an asynchronous preset is implemented with an asynchronous clear. the altera software provides preset control by using the clear and inverting the input and output of the register. inversion control is available for the inputs to both les and ioes. therefore, this technique can be used when a register drives logic or drives a pin. in addition to the two clear and preset modes, flex 6000 devices provide a chip-wide reset pin ( dev_clrn ) that can reset all registers in the device. the option to use this pin is set in the altera software before compilation. the chip-wide reset overrides all other control signals. any register with an asynchronous preset will be preset when the chip-wide reset is asserted because of the inversion technique used to implement the asynchronous preset. the altera software can use a programmable not -gate push-back technique to emulate simultaneous preset and clear or asynchronous load. however, this technique uses an additional three les per register. fasttrack interconnect in the flex 6000 optiflex architecture, connections between les and device i/o pins are provided by the fasttrack interconnect, a series of continuous horizontal and vertical routing channels that traverse the device. this global routing structure provides predictable performance, even for complex designs. in contrast, the segmented routing in fpgas requires switch matrices to connect a variable number of routing paths, increasing the delays between logic resources and reducing performance. prn dq labctrl1 or labctrl2 asynchronous clear asynchronous preset clrn dq chip-wide reset labctrl1 or labctrl2 chip-wide reset
18 altera corporation flex 6000 programmable logic device family data sheet the fasttrack interconnect consists of column and row interconnect channels that span the entire device. each row of labs is served by a dedicated row interconnect, which routes signals between labs in the same row, and also routes signals from i/o pins to labs. additionally, the local interconnect routes signals between les in the same lab and in adjacent labs. the column interconnect routes signals between rows and routes signals from i/o pins to rows. les 1 through 5 of an lab drive the local interconnect to the right, while les 6 through 10 drive the local interconnect to the left. the data1 and data3 inputs of each le are driven by the local interconnect to the left; data2 and data4 are driven by the local interconnect to the right. the local interconnect also routes signals from les to i/o pins. figure 9 shows an overview of the flex 6000 interconnect architecture. les in the first and last columns have drivers on both sides so that all les in the lab can drive i/o pins via the local interconnect. figure 9. fasttrack interconnect architecture note: (1) for epf6010a, EPF6016, and EPF6016a devices, n = 144 channels and m = 20 channels; for epf6024a devices, n = 186 channels and m = 30 channels. 2 2 10 10 20 5 5 5 5 5 10 10 column interconnect (m channels) (1) local interconnect (32 channels) to/from adjacent lab le 1 through le 5 le 6 through le 10 le 1 through le 5 le 6 through le 10 2 2 22 22 10 10 5 5 5 5 20 5 5 5 5 5 5 5 to/from adjacent lab 5 10 10 10 10 10 10 10 10 10 10 5 10 10 row interconnect (n channels) (1)
altera corporation 19 flex 6000 programmable logic device family data sheet a row channel can be driven by an le or by one of two column channels. these three signals feed a 3-to-1 multiplexer that connects to six specific row channels. row channels drive into the local interconnect via multiplexers. each column of labs is served by a dedicated column interconnect. the les in an lab can drive the column interconnect. the les in an lab, a column ioe, or a row interconnect can drive the column interconnect. the column interconnect can then drive another row ? s interconnect to route the signals to other labs in the device. a signal from the column interconnect must be routed to the row interconnect before it can enter an lab. each le has a fasttrack interconnect output and a local output. the fasttrack interconnect output can drive six row and two column lines directly; the local output drives the local interconnect. each local interconnect channel driven by an le can drive four row and two column channels. this feature provides additional flexibility, because each le can drive any of ten row lines and four column lines. in addition, les can drive global control signals. this feature is useful for distributing internally generated clock, asynchronous clear, and asynchronous preset signals. a pin-driven global signal can also drive data signals, which is useful for high-fan-out data signals. each lab drives two groups of local interconnects, which allows an le to drive two labs, or 20 les, via the local interconnect. the row-to-local multiplexers are used more efficiently, because the multiplexers can now drive two labs. figure 10 shows how an lab connects to row and column interconnects.
20 altera corporation flex 6000 programmable logic device family data sheet figure 10. lab connections to row & column interconnects for improved routability, the row interconnect consists of full-length and half-length channels. the full-length channels connect to all labs in a row; the half-length channels connect to the labs in half of the row. in addition to providing a predictable, row-wide interconnect, this architecture provides increased routing resources. two neighboring labs can be connected using a half-length channel, which saves the other half of the channel for the other half of the row. one-third of the row channels are half-length channels. each le output signal driving the fasttrack interconnect can drive two column channels. row interconnect any column channel can drive six row channels. each local channel driven by an le can drive four row channels. at each intersection, four row channels can drive column channels. each le fasttrack interconnect output can drive six row channels. column interconnect local interconnect from adjacent local interconnect le le each local channel driven by an le can drive two column channels. an le can be driven by any signal from two local interconnect areas. row interconnect drives the local interconnect.
altera corporation 21 flex 6000 programmable logic device family data sheet table 5 summarizes the fasttrack interconnect resources available in each flex 6000 device. in addition to general-purpose i/o pins, flex 6000 devices have four dedicated input pins that provide low-skew signal distribution across the device. these four inputs can be used for global clock and asynchronous clear control signals. these signals are available as control signals for all les in the device. the dedicated inputs can also be used as general- purpose data inputs because they can feed the local interconnect of each lab in the device. using dedicated inputs to route data signals provides a fast path for high fan-out signals. the local interconnect from labs located at either end of two rows can drive a global control signal. for instance, in an EPF6016 device, labs c1, d1, c22, and d22 can all drive global control signals. when an le drives a global control signal, the dedicated input pin that drives that signal cannot be used. any le in the device can drive a global control signal by driving the fasttrack interconnect into the appropriate lab. to minimize delay, however, the altera software places the driving le in the appropriate lab. the le-driving-global signal feature is optimized for speed for control signals; regular data signals are better routed on the fasttrack interconnect and do not receive any advantage from being routed on global signals. this le-driving-global control signal feature is controlled by the designer and is not used automatically by the altera software. see figure 11 . table 5. flex 6000 fasttrack interconnect resources device rows channels per row columns channels per column epf6010a 4 144 22 20 EPF6016 EPF6016a 6 144 22 20 epf6024a 7 186 28 30
22 altera corporation flex 6000 programmable logic device family data sheet figure 11. global clock & clear distribution note (1) notes: (1) the global clock and clear distribution signals are shown for EPF6016 and EPF6016a devices. in epf6010a devices, labs in rows b and c drive global signals. in epf6024a devices, labs in rows c and e drive global signals. (2) the local interconnect from labs c1 and d1 can drive two global control signals on the left side. (3) global signals drive into every lab as clock, asynchronous clear, preset, and data signals. (4) the local interconnect from labs c22 and d22 can drive two global control signals on the right side. dedicated inputs lab c1 lab (repeated across device) 4 dedicated inputs (3) (2) (2) (4) (4) lab d1 lab d22 lab c22
altera corporation 23 flex 6000 programmable logic device family data sheet i/o elements an ioe contains a bidirectional i/o buffer and a tri-state buffer. ioes can be used as input, output, or bidirectional pins. an ioe receives its data signals from the adjacent local interconnect, which can be driven by a row or column interconnect (allowing any le in the device to drive the ioe) or by an adjacent le (allowing fast clock-to-output delays). a fastflex tm i/o pin is a row or column output pin that receives its data signals from the adjacent local interconnect driven by an adjacent le. the ioe receives its output enable signal through the same path, allowing individual output enables for every pin and permitting emulation of open-drain buffers. the altera compiler uses programmable inversion to invert the data or output enable signals automatically where appropriate. open- drain emulation is provided by driving the data input low and toggling the oe of each ioe. this emulation is possible because there is one oe per pin. a chip-wide output enable feature allows the designer to disable all pins of the device by asserting one pin ( dev_oe ). this feature is useful during board debugging or testing. figure 12 shows the ioe block diagram. figure 12. ioe block diagram from lab local interconnect slew-rate control from lab local interconnect to row or column interconnect chip-wide output enable delay
24 altera corporation flex 6000 programmable logic device family data sheet each ioe drives a row or column interconnect when used as an input or bidirectional pin. a row ioe can drive up to six row lines; a column ioe can drive up to two column lines. the input path from the i/o pad to the fasttrack interconnect has a programmable delay element that can be used to guarantee a zero hold time. depending on the placement of the ioe relative to what it is driving, the designer may choose to turn on the programmable delay to ensure a zero hold time. figure 13 shows how an ioe connects to a row interconnect, and figure 14 shows how an ioe connects to a column interconnect. figure 13. ioe connection to row interconnect row interconnect any le can drive a pin through the row and local interconnect. fastflex i/o: an le can drive a pin through the local interconnect for faster clock-to-output times. ioe ioe up to 10 ioes are on either side of a row. each ioe can drive up to six row channels, and each ioe data and oe signal is driven by the local interconnect. lab
altera corporation 25 flex 6000 programmable logic device family data sheet figure 14. ioe connection to column interconnect sameframe pin-outs 3.3-v flex 6000 devices support the sameframe pin-out feature for fineline bga packages. the sameframe pin-out feature is the arrangement of balls on fineline bga packages such that the lower-ball- count packages form a subset of the higher-ball-count packages. sameframe pin-outs provide the flexibility to migrate not only from device to device within the same package, but also from one package to another. a given printed circuit board (pcb) layout can support multiple device density/package combinations. for example, a single board layout can support an EPF6016a device in a 100-pin fineline bga package or an epf6024a device in a 256-pin fineline bga package. the altera software packages provide support to design pcbs with sameframe pin-out devices. devices can be defined for present and future use. the altera software packages generate pin-outs describing how to lay out a board to take advantage of this migration (see figure 15 ). r o w int e r co nn ect c olumn interconnec t each ioe can drive two column interconnect channels. each ioe data and oe signal is driven to a local interconnect. any le can drive a pin through the row and local interconnect. ioe ioe lab fastflex i / o: a n le can drive a pin throu g h a loca l interconnect for fa s te r c lock-to-out p ut times .
26 altera corporation flex 6000 programmable logic device family data sheet figure 15. sameframe pin-out example table 6 lists the 3.3-v flex 6000 devices with the sameframe pin-out feature. output configuration this section discusses slew-rate control, the multivolt i/o interface, power sequencing, and hot-socketing for flex 6000 devices. slew-rate control the output buffer in each ioe has an adjustable output slew-rate that can be configured for low-noise or high-speed performance. a slower slew-rate reduces system noise and adds a maximum delay of 6.8 ns. the fast slew-rate should be used for speed-critical outputs in systems that are adequately protected against noise. designers can specify the slew-rate on a pin-by-pin basis during design entry or assign a default slew rate to all pins on a device-wide basis. the slew-rate setting affects only the falling edge of the output. designed for 256-pin fineline bga package printed circuit board 100-pin fineline bga package (reduced i/o count or logic requirements) 256-pin fineline bga package (increased i/o count or logic requirements) 100-pin fineline bga 256-pin fineline bga table 6. 3.3-v flex 6000 devices with sameframe pin-outs device 100-pin fineline bga 256-pin fineline bga EPF6016a vv epf6024a v
altera corporation 27 flex 6000 programmable logic device family data sheet multivolt i/o interface the flex 6000 device architecture supports the multivolt i/o interface feature, which allows flex 6000 devices to interface with systems of differing supply voltages. the EPF6016 device can be set for 3.3-v or 5.0-v i/o pin operation. this device has one set of v cc pins for internal operation and input buffers ( vccint ), and another set for output drivers ( vccio ). the vccint pins on 5.0-v flex 6000 devices must always be connected to a 5.0-v power supply. with a 5.0-v v ccint level, input voltages are at ttl levels and are therefore compatible with 3.3-v and 5.0-v inputs. the vccio pins on 5.0-v flex 6000 devices can be connected to either a 3.3-v or 5.0-v power supply, depending on the output requirements. when the vccio pins are connected to a 5.0-v power supply, the output levels are compatible with 5.0-v systems. when the vccio pins are connected to a 3.3-v power supply, the output high is 3.3 v and is therefore compatible with 3.3-v or 5.0-v systems. devices operating with v ccio levels lower than 4.75 v incur a nominally greater timing delay of t od2 instead of t od1 . on 3.3-v flex 6000 devices, the vccint pins must be connected to a 3.3-v power supply. additionally, 3.3-v flex 6000a devices can interface with 2.5-v, 3.3-v, or 5.0-v systems when the vccio pins are tied to 2.5 v. the output can drive 2.5-v systems, and the inputs can be driven by 2.5- v, 3.3-v, or 5.0-v systems. when the vccio pins are tied to 3.3 v, the output can drive 3.3-v or 5.0-v systems. multivolt i/os are not supported on 100-pin tqfp or 100-pin fineline bga packages. table 7 describes flex 6000 multivolt i/o support. note: (1) when v ccio = 3.3 v, a flex 6000 device can drive a 2.5-v device that has 3.3-v tolerant inputs. table 7. flex 6000 multivolt i/o support v ccint (v) v ccio (v) input signal (v) output signal (v) 2.5 3.3 5.0 2.5 3.3 5.0 3.3 2.5 vvvv 3.3 3.3 vvv v (1) vv 5.0 3.3 vv vv 5.0 5.0 vv v
28 altera corporation flex 6000 programmable logic device family data sheet open-drain output pins on 5.0-v or 3.3-v flex 6000 devices (with a pull- up resistor to the 5.0-v supply) can drive 5.0-v cmos input pins that require a v ih of 3.5 v. when the open-drain pin is active, it will drive low. when the pin is inactive, the trace will be pulled up to 5.0 v by the resistor. the open-drain pin will only drive low or tri-state; it will never drive high. the rise time is dependent on the value of the pull-up resistor and load impedance. the i ol current specification should be considered when selecting a pull-up resistor. output pins on 5.0-v flex 6000 devices with v ccio = 3.3 v or 5.0 v (with a pull-up resistor to the 5.0-v supply) can also drive 5.0-v cmos input pins. in this case, the pull-up transistor will turn off when the pin voltage exceeds 3.3 v. therefore, the pin does not have to be open-drain. power sequencing & hot-socketing because flex 6000 family devices can be used in a mixed-voltage environment, they have been designed specifically to tolerate any possible power-up sequence. the v ccio and v ccint power planes can be powered in any order. signals can be driven into 3.3-v flex 6000 devices before and during power up without damaging the device. additionally, flex 6000 devices do not drive out during power up. once operating conditions are reached, flex 6000 devices operate as specified by the user. ieee std. 1149.1 (jtag) boundary-scan support all flex 6000 devices provide jtag bst circuitry that comply with the ieee std. 1149.1-1990 specification. table 8 shows jtag instructions for flex 6000 devices. jtag bst can be performed before or after configuration, but not during configuration (except when you disable jtag support in user mode). 1 see application note 39 (ieee 1149.1 (jtag) boundary-scan testing in altera devices) for more information on jtag bst circuitry. table 8. flex 6000 jtag instructions jtag instruction description sample/preload allows a snapshot of the signals at the device pins to be captured and examined during normal device operation, and permits an initial data pattern to be output at the device pins. extest allows the external circuitry and board-level interconnections to be tested by forcing a test pattern at the output pins and capturing test result at the input pins. bypass places the 1-bit bypass register between the tdi and tdo pins, which allows the bst data to pass synchronously through the selected device to adjacent devices during normal device operation.
altera corporation 29 flex 6000 programmable logic device family data sheet the instruction register length for flex 6000 devices is three bits. table 9 shows the boundary-scan register length for flex 6000 devices. flex 6000 devices include a weak pull-up on jtag pins. f see application note 39 (ieee 1149.1 (jtag) boundary-scan testing in altera devices) for more information. figure 16 shows the timing requirements for the jtag signals. figure 16. jtag waveforms table 10 shows the jtag timing parameters and values for flex 6000 devices. table 9. flex 6000 device boundary-scan register length device boundary-scan register length epf6010a 522 EPF6016 621 EPF6016a 522 epf6024a 666 tdo tck t jpzx t jpco t jph t jpxz t jcp t jpsu t jcl t jch tdi tms signal to be captured signal to be driven t jszx t jssu t jsh t jsco t jsxz
30 altera corporation flex 6000 programmable logic device family data sheet generic testing each flex 6000 device is functionally tested. complete testing of each configurable sram bit and all logic functionality ensures 100 % configuration yield. ac test measurements for flex 6000 devices are made under conditions equivalent to those shown in figure 17 . multiple test patterns can be used to configure devices during all stages of the production flow. figure 17. ac test conditions table 10. jtag timing parameters & values symbol parameter min max unit t jcp tck clock period 100 ns t jch tck clock high time 50 ns t jcl tck clock low time 50 ns t jpsu jtag port setup time 20 ns t jph jtag port hold time 45 ns t jpco jtag port clock-to-output 25 ns t jpzx jtag port high impedance to valid output 25 ns t jpxz jtag port valid output to high impedance 25 ns t jssu capture register setup time 20 ns t jsh capture register hold time 45 ns t jsco update register clock-to-output 35 ns t jszx update register high impedance to valid output 35 ns t jsxz update register valid output to high impedance 35 ns vcc to test system c1 (includes jig capacitance) device input rise and fall times < 3 ns 464 ? (703 ? ) device output (8.06 k ? ) [521 ?] [481 ?] 250 ? power supply transients can affect ac measurements. simultaneous transitions of multiple outputs should be avoided for accurate measurement. threshold tests must not be performed under ac conditions. large-amplitude, fast-ground-current transients normally occur as the device outputs discharge the load capacitances. when these transients flow through the parasitic inductance between the device ground pin and the test system ground, significant reductions in observable noise immunity can result. numbers without parentheses are for 5.0-v devices or outputs. numbers in parentheses are for 3.3-v devices or outputs. numbers in brackets are for 2.5-v devices or outputs.
altera corporation 31 flex 6000 programmable logic device family data sheet operating conditions tables 11 through 18 provide information on absolute maximum ratings, recommended operating conditions, operating conditions, and capacitance for 5.0-v and 3.3-v flex 6000 devices. table 11. flex 6000 5.0-v device absolute maximum ratings note (1) symbol parameter conditions min max unit v cc supply voltage with respect to ground (2) 2.0 7.0 v v i dc input voltage 2.0 7.0 v i out dc output current, per pin 25 25 ma t stg storage temperature no bias 65 150 c t amb ambient temperature under bias 65 135 c t j junction temperature pqfp, tqfp, and bga packages 135 c table 12. flex 6000 5.0-v device recommended operating conditions symbol parameter conditions min max unit v ccint supply voltage for internal logic and input buffers (3) , (4) 4.75 (4.50) 5.25 (5.50) v v ccio supply voltage for output buffers, 5.0-v operation (3) , (4) 4.75 (4.50) 5.25 (5.50) v supply voltage for output buffers, 3.3-v operation (3) , (4) 3.00 (3.00) 3.60 (3.60) v v i input voltage 0.5 v ccint + 0.5 v v o output voltage 0 v ccio v t j operating temperature for commercial use 0 85 c for industrial use 40 100 c t r input rise time 40 ns t f input fall time 40 ns
32 altera corporation flex 6000 programmable logic device family data sheet notes to tables: (1) see the operating requirements for altera devices data sheet . (2) minimum dc input is ? 0.5 v. during transitions, the inputs may undershoot to ? 2.0 v or overshoot to 7.0 v for input currents less than 100 ma and periods shorter than 20 ns. (3) numbers in parentheses are for industrial-temperature-range devices. (4) maximum v cc rise time to 100 ms. v cc must rise monotonically. (5) typical values are for t a = 25 c and v cc = 5.0 v. (6) these values are specified under the flex 6000 recommended operating conditions shown in table 12 on page 31 . (7) the i oh parameter refers to high-level ttl or cmos output current. (8) the i ol parameter refers to low-level ttl, pci, or cmos output current. this parameter applies to open-drain pins as well as output pins. (9) capacitance is sample-tested only. table 13. flex 6000 5.0-v device dc operating conditions notes (5) , (6) symbol parameter conditions min typ max unit v ih high-level input voltage 2.0 v ccint + 0.5 v v il low-level input voltage 0.5 0.8 v v oh 5.0-v high-level ttl output voltage i oh = 8 ma dc, v ccio = 4.75 v (7) 2.4 v 3.3-v high-level ttl output voltage i oh = 8 ma dc, v ccio = 3.00 v (7) 2.4 v 3.3-v high-level cmos output voltage i oh = 0.1 ma dc, v ccio = 3.00 v (7) v ccio 0.2 v v ol 5.0-v low-level ttl output voltage i ol = 8 ma dc, v ccio = 4.75 v (8) 0.45 v 3.3-v low-level ttl output voltage i ol = 8 ma dc, v ccio = 3.00 v (8) 0.45 v 3.3-v low-level cmos output voltage i ol = 0.1 ma dc, v ccio = 3.00 v (8) 0.2 v i i input pin leakage current v i = v cc or ground (8) 10 10 a i oz tri-stated i/o pin leakage current v o = v cc or ground (8) 40 40 a i cc0 v cc supply current (standby) v i = ground, no load 0.5 5 ma table 14. flex 6000 5.0-v device capacitance note (9) symbol parameter conditions min max unit c in input capacitance for i/o pin v in = 0 v, f = 1.0 mhz 8pf c inclk input capacitance for dedicated input v in = 0 v, f = 1.0 mhz 12 pf c out output capacitance v out = 0 v, f = 1.0 mhz 8pf
altera corporation 33 flex 6000 programmable logic device family data sheet table 15. flex 6000 3.3-v device absolute maximum ratings note (1) symbol parameter conditions min max unit v cc supply voltage with respect to ground (2) 0.5 4.6 v v i dc input voltage 2.0 5.75 v i out dc output current, per pin 25 25 ma t stg storage temperature no bias 65 150 c t amb ambient temperature under bias 65 135 c t j junction temperature pqfp, plcc, and bga packages 135 c table 16. flex 6000 3.3-v device recommended operating conditions symbol parameter conditions min max unit v ccint supply voltage for internal logic and input buffers (3) , (4) 3.00 (3.00) 3.60 (3.60) v v ccio supply voltage for output buffers, 3.3-v operation (3) , (4) 3.00 (3.00) 3.60 (3.60) v supply voltage for output buffers, 2.5-v operation (3) , (4) 2.30 (2.30) 2.70 (2.70) v v i input voltage 0.5 5.75 v v o output voltage 0 v ccio v t j operating temperature for commercial use 0 85 c for industrial use 40 100 c t r input rise time 40 ns t f input fall time 40 ns
34 altera corporation flex 6000 programmable logic device family data sheet notes to tables: (1) see the operating requirements for altera devices data sheet . (2) the minimum dc input voltage is ? 0.5 v. during transitions, the inputs may undershoot to ? 2.0 v or overshoot to 5.75 v for input currents less than 100 ma and periods shorter than 20 ns. (3) numbers in parentheses are for industrial-temperature-range devices. (4) maximum v cc rise time is 100 ms. v cc must rise monotonically. (5) typical values are for t a = 25 c and v cc = 3.3 v. (6) these values are specified under table 16 on page 33 . (7) the i oh parameter refers to high-level ttl or cmos output current. (8) the i ol parameter refers to low-level ttl, pci, or cmos output current. this parameter applies to open-drain pins as well as output pins. (9) capacitance is sample-tested only. table 17. flex 6000 3.3-v device dc operating conditions notes (5) , (6) symbol parameter conditions min typ max unit v ih high-level input voltage 1.7 5.75 v v il low-level input voltage 0.5 0.8 v v oh 3.3-v high-level ttl output voltage i oh = 8 ma dc, v ccio = 3.00 v (7) 2.4 v 3.3-v high-level cmos output voltage i oh = 0.1 ma dc, v ccio = 3.00 v (7) v ccio 0.2 v 2.5-v high-level output voltage i oh = 100 a dc, v ccio = 2.30 v (7) 2.1 v i oh = 1 ma dc, v ccio = 2.30 v (7) 2.0 v i oh = 2 ma dc, v ccio = 2.30 v (7) 1.7 v v ol 3.3-v low-level ttl output voltage i ol = 8 ma dc, v ccio = 3.00 v (8) 0.45 v 3.3-v low-level cmos output voltage i ol = 0.1 ma dc, v ccio = 3.00 v (8) 0.2 v 2.5-v low-level output voltage i ol = 100 a dc, v ccio = 2.30 v (8) 0.2 v i ol = 1 ma dc, v ccio = 2.30 v (8) 0.4 v i ol = 2 ma dc, v ccio = 2.30 v (8) 0.7 v i i input pin leakage current v i = 5.3 v to ground (8) 10 10 a i oz tri-stated i/o pin leakage current v o = 5.3 v to ground (8) 10 10 a i cc0 v cc supply current (standby) v i = ground, no load 0.5 5 ma table 18. flex 6000 3.3-v device capacitance note (9) symbol parameter conditions min max unit c in input capacitance for i/o pin v in = 0 v, f = 1.0 mhz 8pf c inclk input capacitance for dedicated input v in = 0 v, f = 1.0 mhz 12 pf c out output capacitance v out = 0 v, f = 1.0 mhz 8pf
altera corporation 35 flex 6000 programmable logic device family data sheet figure 18 shows the typical output drive characteristics of 5.0-v and 3.3-v flex 6000 devices with 5.0-v, 3.3-v, and 2.5-v v ccio . when v ccio = 5.0 v on EPF6016 devices, the output driver is compliant with the pci local bus specification , revision 2.2 for 5.0-v operation. when v ccio = 3.3 v on the epf6010a and EPF6016a devices, the output driver is compliant with the pci local bus specification , revision 2.2 for 3.3-v operation. figure 18. output drive characteristics v o output voltage (v) 12345 75 i ol i oh v cci nt = 3.3 v v cci o = 3.3 v room temperature epf6010a EPF6016a 50 25 100 epf6010a EPF6016a v o output voltage (v) 12345 75 i ol i oh v cci nt = 3.3 v v cci o = 2.5 v room temperature 50 25 100 v o output voltage (v) 12345 75 i ol i oh v cci nt = 3.3 v v cci o = 3.3 v room temperature epf6024a 50 25 100 v o output voltage (v) 12345 75 i ol i oh v cci nt = 3.3 v v cci o = 2.5 v room temperature epf6024a 50 25 100 v o output voltage (v) 12345 150 120 90 i ol i oh v cci nt = 5.0 v v cci o = 5.0 v room temperature v o output voltage (v) 12345 30 60 90 150 120 i ol i oh 3.3 v cci nt = 5.0 v v cci o = 3.3 v room temperature EPF6016 EPF6016 60 30 typical i o output current (ma) typical i o output current (ma) typical i o output current (ma) typical i o output current (ma) typical i o output current (ma) typical i o output current (ma)
36 altera corporation flex 6000 programmable logic device family data sheet timing model the continuous, high-performance fasttrack interconnect routing resources ensure predictable performance and accurate simulation and timing analysis. this predictable performance contrasts with that of fpgas, which use a segmented connection scheme and therefore have unpredictable performance. device performance can be estimated by following the signal path from a source, through the interconnect, to the destination. for example, the registered performance between two les on the same row can be calculated by adding the following parameters:  le register clock-to-output delay ( t co + t reg_to_out )  routing delay ( t row + t local )  le lut delay ( t data_to _ reg )  le register setup time ( t su ) the routing delay depends on the placement of the source and destination les. a more complex registered path may involve multiple combinatorial les between the source and destination les. timing simulation and delay prediction are available with the simulator and timing analyzer, or with industry-standard eda tools. the simulator offers both pre-synthesis functional simulation to evaluate logic design accuracy and post-synthesis timing simulation with 0.1-ns resolution. the timing analyzer provides point-to-point timing delay information, setup and hold time analysis, and device-wide performance analysis. figure 19 shows the overall timing model, which maps the possible routing paths to and from the various elements of the flex 6000 device.
altera corporation 37 flex 6000 programmable logic device family data sheet figure 19. flex 6000 timing model t labcarry t labcasc t local t row t col t din_d t din_c carry-out to next le in same lab carry-out to next le in next lab cascade-out to next le in same lab cascade-out to next le in next lab carry-in from previous le cascade-in from previous le ioe le i/o pin t carry_to_casc t casc_to_casc t reg_to_casc t data_to_casc t carry_to_carry t reg_to_carry t data_to_carry t reg_to_reg t casc_to_reg t carry_to_reg t data_to_reg t c t ld_clr t su t h t co t clr t casc_to_out t carry_to_out t data_to_out t reg_to_out t leglobal t od1 t od2 t od3 t xz t zx1 t zx2 t zx3 t ioe t in t in_delay
38 altera corporation flex 6000 programmable logic device family data sheet tables 19 through 21 describe the flex 6000 internal timing microparameters, which are expressed as worst-case values. using hand calculations, these parameters can be used to estimate design performance. however, before committing designs to silicon, actual worst-case performance should be modeled using timing simulation and timing analysis. tables 22 and 23 describe flex 6000 external timing parameters. table 19. le timing microparameters note (1) symbol parameter conditions t reg_to_reg lut delay for le register feedback in carry chain t casc_to_reg cascade-in to register delay t carry_to_reg carry-in to register delay t data_to_reg le input to register delay t casc_to_out cascade-in to le output delay t carry_to_out carry-in to le output delay t data_to_out le input to le output delay t reg_to_out register output to le output delay t su le register setup time before clock; le register recovery time after asynchronous clear t h le register hold time after clock t co le register clock-to-output delay t clr le register clear delay t c le register control signal delay t ld_clr synchronous load or clear delay in counter mode t carry_to_carry carry-in to carry-out delay t reg_to_carry register output to carry-out delay t data_to_carry le input to carry-out delay t carry_to_casc carry-in to cascade-out delay t casc_to_casc cascade-in to cascade-out delay t reg_to_casc register-out to cascade-out delay t data_to_casc le input to cascade-out delay t ch le register clock high time t cl le register clock low time
altera corporation 39 flex 6000 programmable logic device family data sheet table 20. ioe timing microparameters note (1) symbol parameter conditions t od1 output buffer and pad delay, slow slew rate = off, v ccio = v ccint c1 = 35 pf (2) t od2 output buffer and pad delay, slow slew rate = off, v ccio = low voltage c1 = 35 pf (3) t od3 output buffer and pad delay, slow slew rate = on c1 = 35 pf (4) t xz output buffer disable delay c1 = 5 pf t zx1 output buffer enable delay, slow slew rate = off, v ccio = v ccint c1 = 35 pf (2) t zx2 output buffer enable delay, slow slew rate = off, v ccio = low voltage c1 = 35 pf (3) t zx3 ioe output buffer enable delay, slow slew rate = on c1 = 35 pf (4) t ioe output enable control delay t in input pad and buffer to fasttrack interconnect delay t in_delay input pad and buffer to fasttrack interconnect delay with additional delay turned on table 21. interconnect timing microparameters note (1) symbol parameter conditions t local lab local interconnect delay t row row interconnect routing delay (5) t col column interconnect routing delay (5) t din_d dedicated input to le data delay (5) t din_c dedicated input to le control delay t leglobal le output to le control via internally-generated global signal delay (5) t labcarry routing delay for the carry-out of an le driving the carry-in signal of a different le in a different lab t labcasc routing delay for the cascade-out signal of an le driving the cascade-in signal of a different le in a different lab table 22. external reference timing parameters symbol parameter conditions t 1 register-to-register test pattern (6) t drr register-to-register delay via 4 les, 3 row interconnects, and 4 local interconnects (7)
40 altera corporation flex 6000 programmable logic device family data sheet notes to tables: (1) microparameters are timing delays contributed by individual architectural elements and cannot be measured explicitly. (2) operating conditions: v ccio = 5.0 v 5 % for commercial use in 5.0-v flex 6000 devices. v ccio = 5.0 v 10 % for industrial use in 5.0-v flex 6000 devices. v ccio = 3.3 v 10 % for commercial or industrial use in 3.3-v flex 6000 devices. (3) operating conditions: v ccio = 3.3 v 10 % for commercial or industrial use in 5.0-v flex 6000 devices. v ccio = 2.5 v 0.2 v for commercial or industrial use in 3.3-v flex 6000 devices. (4) operating conditions: v ccio = 2.5 v, 3.3 v, or 5.0 v. (5) these parameters are worst-case values for typical applications. post-compilation timing simulation and timing analysis are required to determine actual worst-case performance. (6) this timing parameter shows the delay of a register-to-register test pattern and is used to determine speed grades. there are 12 les, including source and destination registers. the row and column interconnects between the registers vary in length. (7) this timing parameter is shown for reference and is specified by characterization. (8) this timing parameter is specified by characterization. tables 24 through 28 show the timing information for epf6010a and EPF6016a devices. table 23. external timing parameters symbol parameter conditions t insu setup time with global clock at le register (8) t inh hold time with global clock at le register (8) t outco clock-to-output delay with global clock with le register using fastflex i/o pin (8) table 24. le timing microparameters for epf6010a & EPF6016a devices (part 1 of 2) parameter speed grade unit -1 -2 -3 min max min max min max t reg_to_reg 1.2 1.3 1.7 ns t casc_to_reg 0.9 1.0 1.2 ns t carry_to_reg 0.9 1.0 1.2 ns t data_to_reg 1.1 1.2 1.5 ns t casc_to_out 1.3 1.4 1.8 ns t carry_to_out 1.6 1.8 2.3 ns t data_to_out 1.7 2.0 2.5 ns t reg_to_out 0.4 0.4 0.5 ns t su 0.9 1.0 1.3 ns t h 1.4 1.7 2.1 ns
altera corporation 41 flex 6000 programmable logic device family data sheet t co 0.3 0.4 0.4 ns t clr 0.4 0.4 0.5 ns t c 1.8 2.1 2.6 ns t ld_clr 1.8 2.1 2.6 ns t carry_to_carry 0.1 0.1 0.1 ns t reg_to_carry 1.6 1.9 2.3 ns t data_to_carry 2.1 2.5 3.0 ns t carry_to_casc 1.0 1.1 1.4 ns t casc_to_casc 0.5 0.6 0.7 ns t reg_to_casc 1.4 1.7 2.1 ns t data_to_casc 1.1 1.2 1.5 ns t ch 2.5 3.0 3.5 ns t cl 2.5 3.0 3.5 ns table 25. ioe timing microparameters for epf6010a & EPF6016a devices parameter speed grade unit -1 -2 -3 min max min max min max t od1 1.9 2.2 2.7 ns t od2 4.1 4.8 5.8 ns t od3 5.8 6.8 8.3 ns t xz 1.4 1.7 2.1 ns t xz1 1.4 1.7 2.1 ns t xz2 3.6 4.3 5.2 ns t xz3 5.3 6.3 7.7 ns t ioe 0.5 0.6 0.7 ns t in 3.6 4.1 5.1 ns t in_delay 4.8 5.4 6.7 ns table 24. le timing microparameters for epf6010a & EPF6016a devices (part 2 of 2) parameter speed grade unit -1 -2 -3 min max min max min max
42 altera corporation flex 6000 programmable logic device family data sheet notes: (1) setup times are longer when the increase input delay option is turned on. the setup time values are shown with the increase input delay option turned off. (2) hold time is zero when the increase input delay option is turned on. table 26. interconnect timing microparameters for epf6010a & EPF6016a devices parameter speed grade unit -1 -2 -3 min max min max min max t local 0.7 0.7 1.0 ns t row 2.9 3.2 3.2 ns t col 1.2 1.3 1.4 ns t din_d 5.4 5.7 6.4 ns t din_c 4.3 5.0 6.1 ns t leglobal 2.6 3.0 3.7 ns t labcarry 0.7 0.8 0.9 ns t labcasc 1.3 1.4 1.8 ns table 27. external reference timing parameters for epf6010a & EPF6016a devices parameter device speed grade unit -1 -2 -3 min max min max min max t 1 epf6010a 37.6 43.6 53.7 ns EPF6016a 38.0 44.0 54.1 ns table 28. external timing parameters for epf6010a & EPF6016a devices parameter speed grade unit -1 -2 -3 min max min max min max t insu 2.1 (1) 2.4 (1) 3.3 (1) ns t inh 0.2 (2) 0.3 (2) 0.1 (2) ns t outco 2.0 7.1 2.0 8.2 2.0 10.1 ns
altera corporation 43 flex 6000 programmable logic device family data sheet tables 29 through 33 show the timing information for EPF6016 devices. table 29. le timing microparameters for EPF6016 devices parameter speed grade unit -2 -3 min max min max t reg_to_reg 2.2 2.8 ns t casc_to_reg 0.9 1.2 ns t carry_to_reg 1.6 2.1 ns t data_to_reg 2.4 3.0 ns t casc_to_out 1.3 1.7 ns t carry_to_out 2.4 3.0 ns t data_to_out 2.7 3.4 ns t reg_to_out 0.3 0.5 ns t su 1.1 1.6 ns t h 1.8 2.3 ns t co 0.3 0.4 ns t clr 0.5 0.6 ns t c 1.2 1.5 ns t ld_clr 1.2 1.5 ns t carry_to_carry 0.2 0.4 ns t reg_to_carry 0.8 1.1 ns t data_to_carry 1.7 2.2 ns t carry_to_casc 1.7 2.2 ns t casc_to_casc 0.9 1.2 ns t reg_to_casc 1.6 2.0 ns t data_to_casc 1.7 2.1 ns t ch 4.0 4.0 ns t cl 4.0 4.0 ns table 30. ioe timing microparameters for EPF6016 devices parameter speed grade unit -2 -3 min max min max t od1 2.3 2.8 ns t od2 4.6 5.1 ns
44 altera corporation flex 6000 programmable logic device family data sheet t od3 4.7 5.2 ns t xz 2.3 2.8 ns t zx1 2.3 2.8 ns t zx2 4.6 5.1 ns t zx3 4.7 5.2 ns t ioe 0.5 0.6 ns t in 3.3 4.0 ns t in_delay 4.6 5.6 ns table 31. interconnect timing microparameters for EPF6016 devices parameter speed grade unit -2 -3 min max min max t local 0.8 1.0 ns t row 2.9 3.3 ns t col 2.3 2.5 ns t din_d 4.9 6.0 ns t din_c 4.8 6.0 ns t leglobal 3.1 3.9 ns t labcarry 0.4 0.5 ns t labcasc 0.8 1.0 ns table 32. external reference timing parameters for EPF6016 devices parameter speed grade unit -2 -3 min max min max t 1 53.0 65.0 ns t drr 16.0 20.0 ns table 30. ioe timing microparameters for EPF6016 devices parameter speed grade unit -2 -3 min max min max
altera corporation 45 flex 6000 programmable logic device family data sheet tables 34 through 38 show the timing information for epf6024a devices. table 33. external timing parameters for EPF6016 devices parameter speed grade unit -2 -3 min max min max t insu 3.2 4.1 ns t inh 0.0 0.0 ns t outco 2.0 7.9 2.0 9.9 ns table 34. le timing microparameters for epf6024a devices parameter speed grade unit -1 -2 -3 min max min max min max t reg_to_reg 1.2 1.3 1.6 ns t casc_to_reg 0.7 0.8 1.0 ns t carry_to_reg 1.6 1.8 2.2 ns t data_to_reg 1.3 1.4 1.7 ns t casc_to_out 1.2 1.3 1.6 ns t carry_to_out 2.0 2.2 2.6 ns t data_to_out 1.8 2.1 2.6 ns t reg_to_out 0.3 0.3 0.4 ns t su 0.9 1.0 1.2 ns t h 1.3 1.4 1.7 ns t co 0.2 0.3 0.3 ns t clr 0.3 0.3 0.4 ns t c 1.9 2.1 2.5 ns t ld_clr 1.9 2.1 2.5 ns t carry_to_carry 0.2 0.2 0.3 ns t reg_to_carry 1.4 1.6 1.9 ns t data_to_carry 1.3 1.4 1.7 ns t carry_to_casc 1.1 1.2 1.4 ns t casc_to_casc 0.7 0.8 1.0 ns t reg_to_casc 1.4 1.6 1.9 ns t data_to_casc 1.0 1.1 1.3 ns t ch 2.5 3.0 3.5 ns t cl 2.5 3.0 3.5 ns
46 altera corporation flex 6000 programmable logic device family data sheet table 35. ioe timing microparameters for epf6024a devices parameter speed grade unit -1 -2 -3 min max min max min max t od1 1.9 2.1 2.5 ns t od2 4.0 4.4 5.3 ns t od3 7.0 7.8 9.3 ns t xz 4.3 4.8 5.8 ns t xz1 4.3 4.8 5.8 ns t xz2 6.4 7.1 8.6 ns t xz3 9.4 10.5 12.6 ns t ioe 0.5 0.6 0.7 ns t in 3.3 3.7 4.4 ns t in_delay 5.3 5.9 7.0 ns table 36. interconnect timing microparameters for epf6024a devices parameter speed grade unit -1 -2 -3 min max min max min max t local 0.8 0.8 1.1 ns t row 3.0 3.1 3.3 ns t col 3.0 3.2 3.4 ns t din_d 5.4 5.6 6.2 ns t din_c 4.6 5.1 6.1 ns t leglobal 3.1 3.5 4.3 ns t labcarry 0.6 0.7 0.8 ns t labcasc 0.3 0.3 0.4 ns table 37. external reference timing parameters for epf6024a devices parameter speed grade unit -1 -2 -3 min max min max min max t 1 45.0 50.0 60.0 ns
altera corporation 47 flex 6000 programmable logic device family data sheet notes: (1) setup times are longer when the increase input delay option is turned on. the setup time values are shown with the increase input delay option turned off. (2) hold time is zero when the increase input delay option is turned on. power consumption the supply power (p) for flex 6000 devices can be calculated with the following equations: p= p int + p io p= (i ccstandby + i ccactive ) v cc + p io typical i ccstandby values are shown as i cc0 in the ? flex 6000 device dc operating conditions ? table on pages 31 and 33 of this data sheet. the i ccactive value depends on the switching frequency and the application logic. this value is based on the amount of current that each le typically consumes. the p io value, which depends on the device output load characteristics and switching frequency, can be calculated using the guidelines given in application note 74 (evaluating power for altera devices) . the i ccactive value can be calculated with the following equation: i ccactive = k f max n tog lc where: f max = maximum operating frequency in mhz n = total number of les used in a flex 6000 device tog lc = average percentage of les toggling at each clock (typically 12.5 % ) k = constant, shown in table 39 table 38. external timing parameters for epf6024a devices parameter speed grade unit -1 -2 -3 min max min max min max t insu 2.0 (1) 2.2 (1) 2.6 (1) ns t inh 0.2 (2) 0.2 (2) 0.3 (2) ns t outco 2.0 7.4 2.0 8.2 2.0 9.9 ns a mhz le --------------------------- - table 39. k constant values device k value epf6010a 14 EPF6016 88 EPF6016a 14 epf6024a 14
48 altera corporation flex 6000 programmable logic device family data sheet this calculation provides an i cc estimate based on typical conditions with no output load. the actual i cc should be verified during operation because this measurement is sensitive to the actual pattern in the device and the environmental operating conditions. to better reflect actual designs, the power model (and the constant k in the power calculation equations shown above) for continuous interconnect flex devices assumes that les drive fasttrack interconnect channels. in contrast, the power model of segmented fpgas assumes that all les drive only one short interconnect segment. this assumption may lead to inaccurate results, compared to measured power consumption for an actual design in a segmented interconnect fpga. figure 20 shows the relationship between the current and operating frequency for epf6010a, EPF6016, EPF6016a, and epf6024a devices.
altera corporation 49 flex 6000 programmable logic device family data sheet figure 20. i ccactive vs. operating frequency device configuration & operation the flex 6000 architecture supports several configuration schemes to load a design into the device(s) on the circuit board. this section summarizes the device operating modes and available device configuration schemes. f see application note 116 (configuring apex 20k, flex 10k & flex 6000 devices) for detailed information on configuring flex 6000 devices, including sample schematics, timing diagrams, configuration options, pins names, and timing parameters. frequency (mhz) epf6010a epf6024a 0 frequency (mhz) 50 100 200 250 150 100 50 EPF6016a 0 frequency (mhz) 50 100 400 300 200 100 0 50 100 200 150 100 50 EPF6016 0 frequency (mhz) 30 60 800 1000 600 400 200 i cc supply current (ma) i cc supply current (ma) i cc supply current (ma) i cc supply current (ma)
50 altera corporation flex 6000 programmable logic device family data sheet operating modes the flex 6000 architecture uses sram configuration elements that require configuration data to be loaded every time the circuit powers up. this process of physically loading the sram data into a flex 6000 device is known as configuration. during initialization ? a process that occurs immediately after configuration ? the device resets registers, enables i/o pins, and begins to operate as a logic device. the i/o pins are tri-stated during power-up, and before and during configuration. the configuration and initialization processes of a device are referred to as command mode ; normal device operation is called user mode . sram configuration elements allow flex 6000 devices to be reconfigured in-circuit by loading new configuration data into the device. real-time reconfiguration is performed by forcing the device into command mode with a device pin, loading different configuration data, reinitializing the device, and resuming user- mode operation. the entire reconfiguration process requires less than 100 ms and is used to dynamically reconfigure an entire system. also, in-field system upgrades can be performed by distributing new configuration files. configuration schemes the configuration data for a flex 6000 device can be loaded with one of three configuration schemes, which is chosen on the basis of the target application. an epc1 or epc1441 configuration device or intelligent controller can be used to control the configuration of a flex 6000 device, allowing automatic configuration on system power-up. multiple flex 6000 devices can be configured in any of the three configuration schemes by connecting the configuration enable input ( nce ) and configuration enable output ( nceo ) pins on each device. table 40 shows the data sources for each configuration scheme. table 40. configuration schemes configuration scheme data source configuration device epc1 or epc1441 configuration device passive serial (ps) bitblaster tm , byteblastermv tm , or masterblaster tm download cables, or serial data source passive serial asynchronous (psa) bitblaster, byteblastermv, or masterblaster download cables, or serial data source
altera corporation 51 flex 6000 programmable logic device family data sheet device pin- outs see the altera web site ( http://www.altera.com ) or the altera digital library for pin-out information.
altera, bitblaster, byteblastermv, fastflex, fasttrack, fineline bga, flex, masterblaster, max+plus ii, megacore, multivolt, optiflex, quartus, sameframe, and specific device designations are trademarks and/or service marks of altera corporation in the united states and other countries. altera acknowledges the trademarks of other organizations for their respective products or services mentioned in this document, specifically: verilog is a registered trademark of and verilog-xl is a trademarks of cadence design systems, inc. data i/o is a registered trademark of data i/o corporation. hp is a registered trademark of hewlett- packard company. exemplar logic is a registered trademark of exemplar logic, inc. pentium is a registered trademark of intel corporation. mentor graphics is a registered trademark of mentor graphics corporation. orcad is a registered trademark of orcad systems, corporation. sparcstation is a registered trademark of sparc international, inc. and is licensed exclusively to sun microsystems, inc. sun workstation is a registered trademark of, and sun is a registered trademark of sun microsystems, inc. synopsys is a registered trademark and designtime, hdl compiler, and designware are trademarks of synopsys, inc. veribest is a registered trademark of viewlogic systems, inc. viewlogic is a registered trademark of viewlogic systems, inc. altera products are protected under numerous u.s. and foreign patents and pending applications, maskwork rights, and copyrights. altera warrants performance of its semiconductor products to current specifications in accordance with altera ? s standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera corporation. altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. copyright ? 2001 altera corporation. all rights reserved. 101 innovation drive san jose, ca 95134 (408) 544-7000 http://www.altera.com applications hotline: (800) 800-epld customer marketing: (408) 544-7104 literature services: (888) 3-altera lit_req@altera.com flex 6000 programmable logic device family data sheet 52 altera corporation printed on recycled paper.


▲Up To Search▲   

 
Price & Availability of EPF6016

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X